FPGA_VIVADO_verilog_八个流水灯_1

实验环境

编译软件及版本:vivado 2019.2

编译语言:verilog

硬件开发板:MiZ702N

前言

最近开始学习FPGA,开始记录自己踩的坑,不然会忘,废话不多说 ,下面进入正题。

正文

我做了两种流水灯的实现,一种是全亮,逐个熄灭其中一个另一种是全灭,逐个点亮下文记录的是全亮,逐个熄灭其中一个这种

流水灯程序代码

`timescale 1ns / 1ps
 //
 //流水灯,八个灯依次点亮
// Module Name: led_test
 //

module led_test(
    clk, //时钟
    reset, //复位
    led //输出状态灯
);
input clk;
input reset;
output [7:0] led;

reg [7:0] led;
reg [31:0] counter; //计数器
reg [3:0] state; //状态控制

//计数器
always @(posedge clk or negedge reset)
    begin
        if(!reset) 
            begin
                counter <= 32'd0;
            end
        else if ( counter == 32'd900_000_000) 
            begin
                counter <= 32'd0;
            end
        else 
            begin
                counter <= counter + 32'd1;
            end
        end
        
 //状态跳转,通过计数器的计数值决定
always @(posedge clk or negedge reset)
    begin
        if(
  • 15
    点赞
  • 149
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值