2022校招 加特兰微电子-数字电路设计工程师 (持续更新...)

这篇博客整理了加特兰微电子校招面试中关于数字电路设计的问题,涵盖5分、10分、15分及20分不同难度的问答。内容包括阻塞与非阻塞赋值的区别、触发器的工作原理、时钟偏移与抖动、亚稳态及其避免方法、跨时钟域同步信号处理、竞争冒险与危害分析、Verilog门级电路实现、边沿检测模块、分频器设计以及基于状态转换图的FSM实现等核心知识点。
摘要由CSDN通过智能技术生成

写在最前面
答案只是自己查阅资料后所写,不一定正确,欢迎大家留言讨论

【问答 | 5分】

1. What is the difference between blocking and nonblocking assignments?
2. Explain setup failture and hole failture to a flip-flop.
3. What is the difference between clock skew and clock jitter?
4. What is metastability?How to avoid metastability?
5. How to synchronize signals between 2 clock domains?
6. What is the output of the codes below?
module quest_for_out();
	integer i;
	reg clk;
	
	initial begin
		clk = 0;
		#4 $finish;
	end
	
	always #1 c
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值