负数在内存中是以补码形式存在的,所以负数右移时先保证符号位不变,其余位向右移动到X位,高位补1。
等移位完成以后,保持符号位不变,其余按位取反加1,即为所求。
在Verilog中实现即b <= ~((~a + 1) >> x) + 1;
,其中a为要右移的数,x为右移的位数,b为右移的结果。
例:-100带符号右移4位
-100的原码:
1000 0000 0000 0000 0000 0000 0110 0100
-100的补码:
1111 1111 1111 1111 1111 1111 1001 1001
右移4位,并在高位补1:
1111 1111 1111 1111 1111 1111 1111 1001
补码形式的移位完成后,结果不是移位后的结果,要根据补码写出原码才是我们所求的结果;即保留符号位,然后按位取反后加1:
1000 0000 0000 0000 0000 0000 0000 0111
最终结果为-7
.
以下代码为Verilog中-100右移的testbench,其中b为将a的值直接右移4位后的结果,c为将a的补码右移4位后再求原码的结果。
module tb();
parameter PERIOD = 20;
reg clk;
reg rst_n;
reg [6:0] a;
reg [6:0] b;
reg [6:0] c;
initial begin
clk = 0;
forever begin
#(PERIOD / 2) clk = ~clk;
end
end
initial begin
rst_n = 0;
#(PERIOD * 3) rst_n = 1;
end
initial begin
a = 100;
end
always @(posedge clk or negedge rst_n) begin
if(!rst_n) begin
b <= 0;
c <= 0;
end
else begin
b <= a >> 4; //将a的值直接右移4位
c <= ~((~a + 1) >> 4) + 1; //将a的补码右移4位后再求原码
end
end
endmodule
如下图所示,若直接右移4位,则会得出结果6;若将补码右移后在求原码即可得到正确结果7;