Verilog的移位运算

0.Verilog的移位运算符分类

  • 逻辑移位:>>/<<。逻辑左移右移代表不管符号位,整体做移动。
  • 算数移位:>>>/<<<。算术左移右移代表保留符号位不动。
  • 两者都是非循环的移位操作。
  • 若移位赋值目标位数多于源数据,对于有符号数右移,先拿符号位填充多出的bit位再按照下面的移位运算方式进行运算。
  • 对于无符号数,逻辑移位和算数移位的效果完全一致。空缺拿0来补充。

1.算数移位

  • 算数右移(>>>)
    • 当移位数据为有符号数,高位补符号位。
    • 当移位数据为无符号数,高位补0。
  • 算数左移(<<<)
    • 有符号数与无符号数效果一致,空缺拿0来补充。

2.逻辑移位

  • 逻辑右移(>>)
    • 不论移位数据为有符号数、无符号数,高位补零。
  • 逻辑左移(<<)
    • 有符号数与无符号数效果一致,空缺拿0来补充。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Arist9612

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值