verilog 开关级建模

用开关级为基础进行的设计。

开关级建模元件

mos开关
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
CMOS开关用关键词cmos声明
在这里插入图片描述
cmos开关可以用nmos和pmos声明
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
1、NMOS开关:control信号为1时导通,为0时输出高组态
2、PMOS开关:control信号为0时导通,为1时输出高组态
3、CMOS开关:ncontrol为1且pcontrol信号为0时,开关导通
4、CMOS开关:ncontrol为0且pcontrol信号为1时,开关高阻
5、tran:连接两个信号,而tranif0当control为0时连接
在这里插入图片描述
在这里插入图片描述
为网标提供逻辑值1和0.

抗阻开关
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

举例

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述
在这里插入图片描述

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值