第十一章 开关级建模

本文详细介绍了如何使用Verilog进行开关级建模,包括MOS开关、CMOS开关、双向开关、电源和地、阻抗开关以及延迟说明。通过具体的例子,如CMOS或非门、二选一多路选择器和CMOS锁存器,阐述了开关级元件的建模和使用。尽管现代设计中开关级建模不常见,但理解其原理对于深入学习FPGA开发仍然有价值。
摘要由CSDN通过智能技术生成

基本概念

  • 在少数情况下,可能会选择用晶体管作为设计的底层模块,即叶级模块(leaf-level)。Verilog语言具有对MOS晶体管级进行设计的能力。
  • 由于电路复杂性的增加,及各种更加先进的CAD工具,开关级为基础的设计慢慢少了。
  • Verilog HDL仅提供用逻辑值0,1,x,z和它们相关的驱动强度进行数字设计的能力(MOS管用于开关应用),无法用于模拟设计。
  • 学习流程图在这里插入图片描述

建模元件

  • 最基本的电路模型元件

MOS开关

  • 关键字:nmospmos
  • nmos与pmos开关符号
    在这里插入图片描述
  • 调用实例
// 调用nmos和pmos开关
nmos n1(out, data, control);
pmos p1(out, data, control);

// 调用nmos和pmos开关,可以无实例名称
nmos (out, data, control);
pmos (out, data, control);
  • 上例中,out的值由data和control控制,逻辑值如下
    在这里插入图片描述

  • 2
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值