自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(13)
  • 收藏
  • 关注

原创 工程数学基础概念

本文内容包括矢量,标量,点乘,叉乘,梯度,散度,旋度,复数的三种表现形式(笛卡尔,指数,极坐标),三种坐标系(笛卡尔,圆柱,球)及其对应的线路,面积,体积积分,拉普拉斯算子,散度定理,旋度定理Scalar不包含方向信息Vector包含方向信息Dot product点乘,输入两个矢量,得到一个标量,可以理解为一个向量在另一个向量上的投影Cross product叉乘,输入两个矢量,得...

2019-09-24 22:05:21 1005

原创 电路的频率响应

Frequency response当一个电路的输入信号频率发生变化时,其内部的元件往往也会作出响应,这种变化通常体现在增益和相位上。一个电路的响应取决于电路中元件的类型,他们的值,连接方式以及各自的阻抗(阻抗是我们主要关注的点)。通过选择合适的元件并连接他们,我们可以制造出频率选择电路,也叫滤波器(filters)Transfer function转移函数H(ω)是频率相关的向量输出Y(ω...

2019-09-24 18:16:17 6508

原创 电磁元件(电阻,电容与电感)

电阻,电容与电感是电路最基础的元件,我们曾学习过许许多多包含他们的电路,却从未真正关心过他们本身今天,就让我们关心一下这些任劳任怨的元件,了解他们背后的基础机理ResistorBasic introduction一段长度为dldldl的导体,其电阻为dR=dVIdR=\frac{dV}{I}dR=IdV​假设导体一端为a,一端为b, a的电势高于b对其积分,最后得出的电阻完全体为R...

2019-09-24 14:28:07 1056

原创 格林公式

格林公式内容如下:$$$$在之前的文章中,我们讲到过路径积分的方式,然而每次用参数表达x,y后再计算总有些麻烦有了格林公式后,我们在进行环路积分后就不必用老办法了,而是采用二重积分的形式,这样可以大大简化计算步骤面积分面有两种表达形式:z=f(x,y)      and    &nbsp...

2019-09-22 16:44:43 3569

原创 电子电路:三极管电路设计

一个完整的三极管电路,除了三极管本身,还可能会有电阻,电容的器件为了得到我们想要的电压增益,必须合理选择不同大小的电阻来设计电路本文将以一个三极管电路为例,说明设计电路的流程如图是一个标准的三极管电路首先,我们必须知道这个电路想要实现的电压增益大小在本例中,电压增益的大小是200,表现为V0/Vi=200V_0/V_i=200V0​/Vi​=200本例还假设三极管输出电阻为无穷大,这...

2019-09-21 12:53:30 1099

原创 数字电路:AHDL中的组合逻辑

Decoders and EncodersDecoder上图是一个译码器的AHDL代码,译码器的输入可以分为两部分,图中的e1 ,e2bar, e3bar决定了a是否表达只有当e1=1, e2=0, e3=0时,a才能够决定输出通过DEFAULT,代码为所有未声明的输出都定义了一个VCC,只有当CASE触发时,才会将特定的输出变为GND通过定义情况 ENABLE 和运用逻辑语句 IF,...

2019-09-20 20:26:46 1709

原创 数字电路:硬件描述语言AHDL纵览

HDLs是硬件描述语言(Hardware Description Languages)的简称HDL有很多,目前主流的是Verilog HDL (Verify Logic)和VHDL(Very High Speed Integrated Circuit HDL)然而我们依旧要从过时的语言AHDL(Altera HDL)学起纵观AHDL格式AHDL文件的格式包括三个部分:Document...

2019-09-19 16:04:42 5871 1

原创 数字电路:可编程逻辑器件PLDs

PLDs分类1.SPLDs:简单可编程逻辑器件,最多600门2.CPLDs:复杂可编程逻辑器件,上千门3.FPGAs:现场可编程门阵列,成千上万门可编程阵列一个由导体构成的网格,行和列之间有可熔化的连接通过熔化指定的连接来决定输出SPLDs分类1.可编程只读存储器(PROM)固定与门阵列可编程或门阵列2.可编程逻辑阵列(PLA)可编程与门阵列可编程或门阵列3.可编程阵列...

2019-09-19 14:49:28 2878

原创 勉强总结的卷积神经网络的基础工作原理

1.图片在计算机眼中是无数由0和1组成的信号,假如有一张字母"X"的图片,"X"由许多像素组成,这些组成X的像素被视为1,而其余空白地区的像素被视为0.2.当要识别X时,并不会以X作为一个整体来识别,因为这样,只要X稍微变化,计算机就会识别失败。因此,需要先提取X这个图片的特征,比如中间有一个×。3.将这个特征交给计算机,使它在观察一张图片时不断寻找相似的部分,相似程度的计算背后有一套数学程序...

2019-09-19 14:46:14 116

原创 我们身边的系统

系统是一种物理实体,它对一组主要信号(输入)进行操作,产生一组对应的信号(输出)操作的方式可能有:分解,过滤,提参,组合等一个系统可能包含很多子系统,子系统也有自己的输入和输出系统的互联系统与系统之间可以有许多种组合方式:级联(Cascaded):系统1的输出是系统2的输入平行(Parallel):系统1和系统2输入相同,输出相加反馈(Feedback):系统1的输出是系统2的输...

2019-09-19 14:42:51 960

原创 电磁学基础概念

麦克斯韦方程组是经典电磁学的基础公式,包括描述电场-电荷关系及电场性质的高斯定律,描述磁场的高斯磁定律,描述磁场激发电场规律的法拉第定律,描述电场激发磁场规律的麦克斯韦-安培定律。每一个方程都有积分和微分两种形式。最后一个式子代表变化的磁通量或是电流都可以形成磁场#高斯电场定律通过封闭曲面的电通量等于曲面内的电荷量除以真空介电常数ε0E点乘da是E在面法向量上的投影,对此积分得出封闭曲...

2019-09-19 11:44:20 1862

原创 从电子到电流

Current因自由电子运动引发的电流包括三种:传导电流(Conduction current):由导体电子漂移引发对流(Convention current):由电子或离子在真空中运动引发电解电流(Electrolytic current):由正离子和负离子的迁移引发本次只涉及传导电流Conduction current and current densityConduction ...

2019-09-19 11:44:06 592

原创 我们身边的信号

Energy of signal能量信号对无穷大的时间积分,其结果为0能量信号是持续时间有限的脉冲信号能量信号一定是非周期信号ϵ(x)=∫−∞+∞∣x(t)∣2dt\epsilon(x)=\int_{-\infty}^{+\infty}|x(t)|^2dtϵ(x)=∫−∞+∞​∣x(t)∣2dtε是能量Power of signal功率信号有两种:无限时间的周期信号持续时间...

2019-09-18 21:29:25 551

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除