Verilog语言83编码器,译码器,LED仿真

以下代码均已通过Xilinx2014测试

1.8_3优先编码器

module PEn83(Y,I);
     output [2:0]Y;
     input [7:0]I;
     or or1(Y[2],I[4],I[5],I[6],I[7]);
     
     not not1(FI4,I[4]);
     not not2(FI5,I[5]);
     and and1(Tmp1,I[2],FI4,FI5);
     and and2(Tmp2,I[3],FI4,FI5);
     or or2(Y[1],Tmp1,Tmp2,I[6],I[7]);
     and Pand1(P1,I[1],FI2,FI4,FI6);
     and Pand2(P2,I[3],FI4,FI6);
     and Pand3(P3,I[5],FI6);
     or or3(Y[0],P1,P2,P3,I[7]);
         
endmodule

(2)仿真

module PEn83test;
     wire [2:0]OutY;
     wire [7:0]InI;
     reg A,B,C,D,E,F,G,H;
     assign InI={
   A,B,C,D,E,F,G,H};
     PEn83 MyPEn83(.Y(OutY),.I(InI));
     initial
     begin
          A=0;B=0;C=0;D=0;E=0;F=0;G=0;H=1;#100;
          A=0;B=0;C=0;D=0;E=0
  • 0
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值