寄生参数相关文件(itf, ict, tluplus, capTable, nxtgrd, qrcTechFile)

1. Interconnect Technology Format

  • itf(S家工具用到的互联工艺格式文件)ict文件(C家工具用到的互连工艺格式文件)是工艺参数文件,记录了每层材料的电阻率、介电常数、温度系数、最小宽度等详细信息。EDA工具没有直接使用这类文件进行RC的抽取,因为计算量是巨大的,将严重影响EDA工具的速度。
  • 互连线工艺文件,主要包括:
    • 工艺参数:比如金属的厚度,金属层的方块电阻值,介质层的厚度,介质层的介电常数等。
    • 工艺效应系数和PVT系数:比如线宽增大效应,温度系数等。
    • ict文件可以使用techgen命令(qrc)生成qrcTechFile,qrc吃qrcTechFile可以抽取spef

2. tluplus&capTable

   为了减少RC抽取过程中的计算量,节省RC抽取的时间,我们一般不直接使用这种文件而是先将其转换成查找表文件(TLU+以及capTable)。PR工具根据导线的长度和宽度查表即可得到电阻电容值,虽然过程中也要计算一些系数的影响(比如温度系数),但计算量已经大幅降低了。

2.1 tluplus

  • S家PR工具(ICC2)用的net电阻电容查找表,tlu升级版格式

  • itf文件可以转换为tluplus

    • 通过grdgenxo命令,

2.2 capTable

  • captable的精度低于qrcTechfile
  • C家PR工具(Innovous)用的net电阻电容查找表
  • ict文件可以转换为captable文件
    • 通过generateCapTbl命令,generateCapTbl是Innovus安装包里面的一个程序

  • 由ict文件生成,其内容主要是电容电阻的查找表,通常由半导体厂提供。
  • ict文件是工艺参数,并不是直接的电阻电容值,如果直接使用,则每一段导线都要根据工艺参数去计算电阻电容,计算量太大。所以,为了减少RC抽取过程中的计算量,节省RC抽取的时间,将ict文件转换成captable。在captable中,由导线的长度和宽度查表即可得到电阻电容值,虽然过程中也要计算一些系数的影响(比如温度系数),但计算量仍然大幅减少了。由此可见,captable的生成过程就是由ict中的工艺参数按照一些特定的导线尺寸计算出相应电阻电容值的过程。生成时间较快

3 nxtgrd&qrcTechfile

为了提高RC提取的精度,我们会使用更加精确的RC提取引擎或者RC提取工具(如StarRC),它们的输入是nxtgrd/qrcTechfile文件。同样,它们也可以由itf和ict文件转换而成,用的命令分别是Techgen和grdgenxo。

3.1 nxtgrd

  • nxtgrd是StarRC(Synopsys)提取寄生参数用的输入文件

3.2 qrcTechfile

  • 其原理与captable相同,但对导线的3D建模更加精确,并且会考虑更多的工艺效应,所以其电阻电容值也更加精确。相应的,qrcTechfile的生成非常耗时

  • qrcTechfile也由ict文件生成,用于QRC引擎,通常由半导体厂提供

  • qrcTechfile是QRC(Cadence)引擎提取寄生参数spef用的输入文件

    • Techgen是EXT(QRC)的命令

在这里插入图片描述

ICT和ITF文件可以相互转换


  • 何种阶段使用何种RC抽取引擎要在精度和运行时间之间进行折中。因为布线之前的步骤均使用预布线(trial route),所以没有必要使用qrcTechFile。
  • 16
    点赞
  • 90
    收藏
    觉得还不错? 一键收藏
  • 6
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值