数电和Verilog-时序逻辑实例二:移位寄存器

本文介绍了一个简单的单向移位寄存器设计,数据从低位向高位移位。通过load信号加载初始值,并提供了设计及测试模块,仿真结果显示了移位过程。
摘要由CSDN通过智能技术生成

A.15 时序逻辑实例二:移位寄存器

简单的单向移位寄存器,由低位向高位移动,可以通过load加载设定移位寄存器的初始值。

设计模块

//文件路径:a.15/src/shifter.v
module shifter(clk,rst_n,load_enable,load_data,dout);
  input clk;
  input rst_n;
  input load_enable;
  input[7:0] load_data;
  output[7:0] dout;

  reg[7:0] shift_data;

  always@(posedge clk)begin
    if(!rst_n)
      shift_data <= 'd0;
    else begin
      if(load_enable)
        shift_data <= load_data;
      else
        shift_data <= {shift_data[6:0],shift_data[7]};
    end
  end

  assign dout = shift_data;

endmodule

测试模块

//文件路径:a.15/sim/testbench/demo_tb.sv
module top;
  logic clk;
  logic rst_n;
  logic load_enable;
  logic[7:0] load_data;
  logic[7:0] dout;
  
  shifter DUT(.clk(clk),.rst_n(rst_n),.load_enable(load_enable),.load_dat
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值