单口RAM

module single_ram#(
    parameter    DATA_WIDTH = 8,
    parameter    ADDR_WIDTH = 8,
    parameter    MEM_DEPTH = 256   
)
(
    clk, rst_n, cs_n, write_en, addr, din, dout
);

input    clk;
input    rst_n;
input    cs_n;
input    write_en;
input[ADDR_WIDTH-1:0]    addr;
input[DATA_WIDTH-1:0]    din;
output[DATA_WIDTH-1:0]   dout;

reg[DATA_WIDTH-1:0]    DOUT;
reg[DATA_WIDTH-1:0]    mem[0:MEM_DEPTH-1];
integer    i;

always@(posedge clk or negedge rst_n)begin
    if(!rst_n)
        for(i=0; i<MEM_DEPTH-1; i=i+1)
            mem[i] <= 'b0;
    else if(!cs_n && write_en)
            mem[addr] <= din;
end

always@(posedge clk or negedge rst_n)begin
    if(!rst_n)
        dout <= 'b0;
    else if(!cs_n && !write_en)
            dout <= mem[addr];
end

endmodule

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Jealky

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值