SystemVerilog验证 测试平台编写指南 第七章 线程以及线程间的通信

7.1 线程的使用
Verilog:begin…end中的语句以顺序方式执行,fork…join中的语句则以并发方式执行。
System Verilog:引入两种新的创建线程的方法——使用fork…join_none和fork…join_any语句。
fork…join_none块在调用其块内语句时,父线程继续执行。
fork…join_any块在调用其块内语句时,当第一个语句完成后,父线程才继续执行,其它停顿的线程也得以继续。
在这里插入图片描述
fork…join:所有语句块都执行完后才能继续块后续的处理。
fork…join_any:当第一个语句(所有进程中运行最快的一个)完成后,父进程才继续执行。
fork…join_none:父进程与其同时执行。
begin…end:顺序执行,通常有嵌套在fork语句当中。

在类中创建线程,使用fork…join_none可以开启一个线程。
线程中的自动变量:在fork…join_none语句中使用自动变量来保存变量的拷贝。
当你使用循环来创建线程时,如果在进入下一轮循环之前没有保存变量值,便会碰

  • 0
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值