【安路科技FPGA软件TangDynasty】与modelsim进行仿真

前言

正如我别的文档里吐槽过的,安路TD进行modelsim仿真非常别扭。QUARTUS是可以直接和modelsim联合仿真的,VIVADO也是直接可以打开modelsim的(vivado自带的仿真也是质量杠杠的)。但是安路TD需要一系列设置,正如我上一个文档所说:“目前这么多FPGA设计软件里,比安路TD仿真流程更繁琐的,只有加了ip时的安路TD的仿真流程。”
当第一次做安路TD的仿真的时候,很可能一不留神就出现bug,因此我在这里翻出我以前的记录,整理后分享给大家,回馈社区。
如果觉得实用请点个赞以及交流几句,这是我更新的动力。

官方仿真流程以及个人解读

请点击 TD->help->Software User Guide,弹出TD_user_guide,找到仿真这一章节。先把guide里的几个设置照做。我将官方流程截图放下面。
TD_User_Guide
在这里插入图片描述在这里插入图片描述
在这里插入图片描述
下图是设置modelsim仿真相关参数
//请注意,截图只是示例,设置lib主要是为了modelsim可以调取仿真资源(设计文件、tb文件等)。runtime和resolution也是可以看情况设置(这个fpga老手们应该知道怎么取舍,没在别的软件使用过仿真的新手们就按照这个截图来也行)
在这里插入图片描述
在这里插入图片描述
//上图里写的Flow运行至xx这一步,指的是那个位置右侧出现绿色小勾。也就是软件完成了这一步的运行。目前咱们只看如何进行行为仿真。
在这里插入图片描述
//注意,是选择module。众所周知,module名和工程名是两码事。module指的是你.v文件里的module,一个工程可以有一个top module,下面挂着很多底层的module。而工程名是满足取名规范的任何组合(比如项目名称的英文 加 下划线 加 日期,例如 yuexinbuguoyi_20240614)。(解释无力,希望这么说能引起新手们的注意)
在这里插入图片描述
//尤其要注意的是,不要真的自己写tb文件,要用它的模板再填写不然你真不知道会错在哪,比如tb文件里“glbl glbl();”这一句,让少不更事的我,好几个晚上都在查BUG。

个人使用的另一种方法

当初少不更事,希望摸索出安路TD软件一招鲜吃遍天的仿真流程,在包含ip核的仿真时也实用。现在回过头来看,和官方教程的道理其实是一样的
1.建立一个库(例如取名为anlogic)放在modelsim的安装目录里,并在modelsim上点击 change directory,选择这个库(anlogic)。
2.在"anlogic"中建立"src"文件夹,再把安路软件安装位置的simulation(我的位置是D:\Anlogic\TD4.6.4\sim)的al里的文件、工程所选用的芯片系列例如ef2里的所有文件复制粘贴到src中。
3.modelsim中点击file->new->library,新建一个库,例如取名为"test9_17"
4.1)在modelsim中点击compile,顶端的library选项选择test9_17,
2)下面查找范围选择第2步创建的src,选择里面的所有文件,点击compile(界面其余选项都默认)。
5.1)在modelsim中创建一个工程,取名字,project location是默认,default name 是work。点ok
2)添加文件,点击add existing files,选择testbench文件+所调用的ip的sim.v文件!还有顶层模块(各个被例化的模块)
testbench中需要加上对glbl的例化。
先compile后start simulation。

  • 14
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值