FPGA学习日记(二)使用quartusII创建ip核

使用quartusII创建各类ip核,操作大体上都相似,区别在于根据实际需求对ip核进行设置,下面以pll的ip核创建为例,讲述ip核的一般创建过程。
step1:找到tools下的魔棒选项;

在这里插入图片描述
step2:选择创建一个新的ip核还是导入已有的ip核;
在这里插入图片描述
step3:当以第一次创建ip核时,搜索框中输入想创建的ip核名称和类型,且将其ip核的地址保存至相应的路径,并且为ip和文件命名;
在这里插入图片描述
step4:设置自己所需要的的ip核条件;在这里插入图片描述
step5:设置完后需要添加altera的仿真库;
在这里插入图片描述
step6:最后根据自己的需要导出相应的ip核文件;
在这里插入图片描述
step7:添加已经创建好的ipcore进入工程;
在这里插入图片描述
step8:至此ip核的创建已经完成;
step9:若想修改ip核,还是点击魔棒选项,选择编辑现有文档,再选择.v文件,重新对ip核进行设置
step10:当ip核设置成功后,使用其他模块实例化ip核即可进行对其的调用。

  • 6
    点赞
  • 46
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值