Vivado MIG ip核使用教程(三)

Vivado MIG ip核使用教程(一)

  • Vivado中MIG ip核的生成流程

Vivado MIG ip核使用教程(二)

  • Vivado中MIG ip核的各个接口介绍

Vivado MIG ip核使用教程(三)

  • DDR3读写控制器

在本章中,我们对DDR3进行先写入再读出的操作,使用状态机来控制对DDR3的访问,并介绍DDR3读写控制器的编写思路。
状态机状态:IDLE、WR、WAIT、RD。

1 DDR3使能信号

  • app_en信号是DDR3的使能信号,我们要使用DDR3,首先要对DDR3进行使能,也就是拉高app_en信号
  • app_en信号不需要一直拉高,只有我们需要对存储器进行访问的时候才拉高即可。那什么时候是我们对存储器进行访问的时候?那就是我们对DDR3进行读写操作的时候。
  • 在写状态下,当DDR3准备好被写入时拉高app_en信号。在读状态下,当DDR3准备好被读出数据时拉高app_en信号。
assign app_en = (state == WR && app_rdy && app_wdf_rdy)||(state == RD && app_rdy))?1'b1:1'b0;

2 如何访问DDR3

访问DDR3也就是对DDR3进行读写操作,当我们需要向DDR3中写入/读出数据时,我们需要考虑以下问题:

  1. 读还是写?
  2. 现在可以读写DDR3吗?
  3. 访问DDR3的哪个地址?
  4. 如何告诉DDR3我现在正在写入数据?或什么时候读出的数据才是有效的?

2.1 读写控制端口

  • 我们怎么告诉DDR3现在进行的是读操作还是写操作呢,答案就是app_cmd端口,我们通过app_cmd来控制对DDR3进行写操作还是读操作。
assign app_cmd = (state == WR)?1'b0:1'b1;

2.2 什么时候可以对DDR3进行读写操作?

  • 当我们想要对DDR3进行读写操作时,我们不一定可以立即进行读写操作,我们需要先知道DDR3现在是否准备好被写入或者被读出。
  • 那么如何知道当下是否可以对DDR3进行读写访问呢?MIG ip核输出了相关的rdy信号,也就是准备好信号,我们根据这些rdy信号来判断当下是否可以对DDR3进行访问。
  • 这些rdy信号共有2个,它们是app_rdy、app_wdf_rdy。
问题信号
DDR3现在是否准备好被写入数据?app_rdy && app_wdf_rdy
DDR3现在是否准备好读出数据?app_rdy

2.3 访问DDR3的哪个地址?

当我们要向DDR3中写入数据或者从DDR3中读出数据时,我们需要知道,向哪个地址写入数据?从哪个地址读出数据?
通过app_addr端口,我们可以控制读写的地址。

always@(posedge clk)
	case(state)
		IDLE:
			app_addr <= 0;
		WR:
			if(app_rdy && app_wdf_rdy && wr_addr_cnt == TEST_LENGTH - 1)
				app_addr <= 0;
			else if(app_rdy && app_wdf_rdy)
				app_addr <= app_addr + 8;
			else 
				app_addr <= app_addr;	
		WAIT:
			app_addr <= 0;	
		RD:
			if(app_rdy && rd_addr_cnt == TEST_LENGTH - 1)
				app_addr <= 0;
			else if(app_rdy)
				app_addr <= app_addr + 8;
			else 
				app_addr <= app_addr;		
		default:
			app_addr <= 0;
	endcase

2.4 读写数据有效?

  • 如何告诉DDR3我现在正在写入数据?
app_wdf_wren = (state == WRITE && app_rdy && app_wdf_rdy)?1'b1:1'b0;

app_wdf_wren指示输入数据有效,我们在DDR3可写的时候拉高app_wdf_wren,并更新app_wdf_data端口的数据即可

  • 如何知道此时读出的数据是有效的?
    app_rd_data_valid信号指示读数据有效

3 用户逻辑复位

ui_clk_sync_rst可以对用户逻辑进行复位,我们可以对它取反作为一个低电平有效的复位信号

assign rst_n = ~ui_clk_sync_rst;

always @(posedge ui_clk or negedge rst_n)
	if(~rst_n)begin
		...
	end
	else begin
		...
	end

4 部分代码

以下为本节对应的DDR3读写控制器代码

`timescale 1ns / 1ps



module ddr3_rw(
    input          	   init_calib_complete,
    input              ui_clk             ,
    input          	   ui_clk_sync_rst    ,
    output reg [ 27:0] app_addr           ,
    output     [  2:0] app_cmd            ,
    output             app_en             ,
    output reg [127:0] app_wdf_data       ,
    output             app_wdf_end        ,
    output             app_wdf_wren       ,
    input      [127:0] app_rd_data        ,
    input              app_rd_data_valid  ,
    input              app_rdy            ,
    input              app_wdf_rdy        ,
    output             error              
);


localparam IDLE = 4'b0001;
localparam WR   = 4'b0010;
localparam WAIT = 4'b0100;
localparam RD   = 4'b1000;
localparam TEST_LENGTH = 1000;

reg  [					    3:0] state 		;
reg  [					    3:0] next_state	;
wire       						 rst_n		;
reg  [clogb2(TEST_LENGTH - 1):0] wr_addr_cnt;
reg  [clogb2(TEST_LENGTH - 1):0] rd_addr_cnt;
reg  [clogb2(TEST_LENGTH - 1):0] rd_cnt     ;

assign clk          = ui_clk;
assign rst_n 		= ~ui_clk_sync_rst;
assign app_cmd 		= (state == WR)?1'b0:1'b1;
assign app_en 		= ((state == WR && app_rdy && app_wdf_rdy)||(state == RD && app_rdy))?1'b1:1'b0;
assign app_wdf_wren = (state == WR && app_rdy && app_wdf_rdy)?1'b1:1'b0;
assign app_wdf_end  = app_wdf_wren;
assign error        = (app_rd_data_valid && rd_cnt != app_rd_data)?1'b1:1'b0;



always@(posedge clk)
	if(~rst_n)	state <= IDLE;
	else  	    state <= next_state;


always@(*)
	case(state)
		IDLE:
			if(init_calib_complete)											next_state = WR;
			else 															next_state = IDLE;
		WR:
			if(wr_addr_cnt == TEST_LENGTH - 1 && app_wdf_rdy && app_rdy)	next_state = WAIT;
			else 															next_state = WR;
		WAIT:																
																			next_state = RD;
		RD:
			if(rd_addr_cnt == TEST_LENGTH - 1 && app_rdy)					next_state = IDLE;
			else 															next_state = RD;
		default:
																			next_state = IDLE;
	endcase


// wr_addr_cnt
always@(posedge clk)
	case(state)
		IDLE:
			wr_addr_cnt <= 0;
		WR:
			if(app_rdy && app_wdf_rdy && wr_addr_cnt == TEST_LENGTH - 1)
				wr_addr_cnt <= 0;	
			else if(app_rdy && app_wdf_rdy)
				wr_addr_cnt <= wr_addr_cnt + 1'b1;
			else 
				wr_addr_cnt <= wr_addr_cnt;
		WAIT:
			wr_addr_cnt <= 0;
		RD:
			wr_addr_cnt <= 0;
		default:
			wr_addr_cnt <= 0;
	endcase

// rd_addr_cnt
always@(posedge clk)
	case(state)
		IDLE:
			rd_addr_cnt <= 0;
		WR:
			rd_addr_cnt <= 0;	
		WAIT:
			rd_addr_cnt <= 0;	
		RD:
			if(app_rdy && rd_addr_cnt == TEST_LENGTH - 1)
				rd_addr_cnt <= 0;	
			else if(app_rdy)
				rd_addr_cnt <= rd_addr_cnt + 1'b1;
			else 
				rd_addr_cnt <= rd_addr_cnt;		
		default:
			rd_addr_cnt <= 0;
	endcase

// app_addr
always@(posedge clk)
	case(state)
		IDLE:
			app_addr <= 0;
		WR:
			if(app_rdy && app_wdf_rdy && wr_addr_cnt == TEST_LENGTH - 1)
				app_addr <= 0;
			else if(app_rdy && app_wdf_rdy)
				app_addr <= app_addr + 8;
			else 
				app_addr <= app_addr;	
		WAIT:
			app_addr <= 0;	
		RD:
			if(app_rdy && rd_addr_cnt == TEST_LENGTH - 1)
				app_addr <= 0;
			else if(app_rdy)
				app_addr <= app_addr + 8;
			else 
				app_addr <= app_addr;		
		default:
			app_addr <= 0;
	endcase

// app_wdf_data
always@(posedge clk)
	case(state)
		IDLE:
			app_wdf_data <= 0;
		WR:
			if(app_rdy && app_wdf_rdy && wr_addr_cnt == TEST_LENGTH - 1)
				app_wdf_data <= 0;	
			else if(app_rdy && app_wdf_rdy)
				app_wdf_data <= app_wdf_data + 1'b1;
			else 
				app_wdf_data <= app_wdf_data;
		WAIT:
			app_wdf_data <= 0;
		RD:
			app_wdf_data <= 0;
		default:
			app_wdf_data <= 0;
	endcase

// rd_cnt
always @(posedge clk)begin
     if(~rst_n) 
         rd_cnt <= 0;                                                 
     else if(app_rd_data_valid && rd_cnt == TEST_LENGTH - 1)
         rd_cnt <= 0;              
     else if(app_rd_data_valid)
         rd_cnt <= rd_cnt + 1;
 end


function integer clogb2(input integer bit_depth);
    for(clogb2 = 0;bit_depth > 0;clogb2 = clogb2 + 1)
        bit_depth = bit_depth >> 1;
endfunction



endmodule






  • 6
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
### 回答1: MIG IP核是指Memory Interface Generator IP核,在Zynq系列芯片中使用。它是提供给开发者的一种IP核设计资源,用于生成具有高性能和可靠性的存储器接口。MIG IP核可以帮助开发者更轻松地设计和实现与DDR3、DDR4等标准存储器接口的连接。 MIG IP核具有可配置性,可以根据需求进行灵活的配置。它提供了一系列的参数选项,例如存储器接口的宽度、时序和通讯协议等,并且可以进行调整和优化,以适应设计需求。开发者可以根据实际应用场景的需要选择合适的配置参数,从而得到性能达到最佳的接口设计。 使用MIG IP核可以减少设计时间和复杂性。开发者无需从头开始设计存储器接口电路,可以直接使用MIG IP核生成所需的接口电路。此外,MIG IP核还提供了一套完整的验证工具和文档,可帮助开发者进行验证和调试。 如果开发者对MIG IP核的配置和使用不熟悉,还可以参考Xilinx官方提供的相关文档和实例代码。这些资源可以帮助开发者快速上手,并进行更深入的学习和应用。 综上所述,MIG IP核是Zynq系列芯片中一种用于生成高性能存储器接口的IP核。它具有可配置性和易用性,可帮助开发者更快速、更方便地设计和实现存储器接口。 ### 回答2: MIG IP核是一种用于Xilinx Zynq系列器件的内存控制器IP核。Zynq是一种集成了处理器系统和可编程逻辑的SoC(系统级芯片),它具有FPGA(现场可编程门阵列)和ARM Cortex-A9处理器的组合。MIG IP核是Zynq器件中处理器系统连接外部DDR(双数据速率)SDRAM(同步动态随机存取存储器)所必需的关键组件。 MIG IP核提供了与DDR SDRAM的接口,以实现高速数据读写。它通过提供包括时钟、地址、数据等接口信号,并控制DDR SDRAM的访问,实现了高效的数据交换。此外,MIG IP核还负责初始化DDR SDRAM,并进行校准和调整以确保数据的可靠性和一致性。 使用MIG IP核开发人员可以轻松地构建高性能、低延迟的内存系统,以满足复杂的应用需求。通过调整MIG IP核的参数,可以适应不同类型和规格的DDR SDRAM,以及不同的性能和功耗要求。此外,MIG IP核还支持各种高级功能,如ECC(纠错码)校验和ECC错误处理,以提高数据的可靠性和完整性。 总而言之,MIG IP核是在Zynq系列器件中使用的关键IP核之一,用于实现与外部DDR SDRAM的高速数据交换。它为开发人员提供了灵活性和性能优化的选项,并确保数据的可靠性和一致性。使用MIG IP核开发人员可以更轻松地设计出高性能、低延迟的嵌入式系统。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

数据线

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值