vhdl语言基础篇-for

1、for语法使用规则

标号:for 循环变量 in 离散范围 generate

          <并行语句>;

end generate 标号;

代码示例如下:

    signal data_7p4bit : std_logic_vector(7*4-1 downto 0);
    signal data_7p_bit : std_logic_vector(6 downto 0);
      G_04deg : for I in 0 to 6 generate 
      process(rst,clk)
      begin
          if rst='1' then
              data_7p4bit(4*(i+1)-1 downto 4*i )<=(others=>'0');
          elsif clk'event and clk='1' then        
              if data_7p_8bit(i)='1' then
                  data_7p4bit(4*(i+1)-1 downto 4*i )<="1100";
              else
                  data_7p4bit(4*(i+1)-1 downto 4*i )<="0100";
              end if;            
          end if;
      end process;
      end generate G_04deg;

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值