FPGA-Vivado工程创建

在进行FPGA编程时,最重要的是进行使用软件进行工程创建。在以下的文章中,详细介绍如何在Vivado软件中进行工程的创建以及波形图仿真工作。

一、Create Peoject

在创建完工程之后,选择RTL进行编程。

选择结束之后进行FPGA的型号选择

建立完成工程之后,点击右上角Add Sources

选择Create File

最后在Source窗口可以看到所创建的.v文件

二、测试文件创建

方法基本同上文,首先在Add Source中添加simulation sources

之后创建测试文件时,根据工程习惯,一般在命名前添加TB_xxxx

至此,关于Vivado创建工程文件完成。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值