Verilog用计数器实现奇分频或偶分频

不管是奇分频还是偶分频,其实都是周期的倍增,所以只要用计数器计满倍增后一个时钟周期的个数(几分频就计几个数)

1.奇分频

是几分频计数器就计多少个数,然后posedge clk 产生一个中间变量(拉高一半加0.5个时钟周期),negedge clk 产生一个中间变量(拉高一半加0.5个时钟周期),错开半个时钟周期,让它们逻辑相与(拉高一半减0.5个时钟周期时是逻辑相或)

module div_5 (
    input clk,
    input rstn,

    output wire div5
);
    reg X;

    reg Y;

    reg [4:0] cnt_1;

    always @(posedge clk or negedge rstn) begin
        if (rstn == 1'b0) begin
            cnt_1 <= 5'd0;
        end
        else if (cnt_1 == 5'd4) begin
            cnt_1 <= 5'd0;
        end
        else begin
            cnt_1 <= cnt_1 + 5'd1;

        end
    end

    always @(posedge clk or negedge rstn) begin
        if (rstn == 1'b0) begin
            X <= 1'b0;
        end
        else if (cnt_1 >= 5'd1 && cnt_1 <= 5'd3 ) begin
            X <= 1'b1;
        end
        else begin
            X <= 1'b0;
        end
    end


    always @(negedge clk or negedge rstn) begin
        if (rstn == 1'b0) begin
            Y <= 1'b0;
        end
        else if (cnt_1 >= 5'd1 && cnt_1 <= 5'd3 ) begin
            Y <= 1'b1;
        end
        else begin
            Y <= 1'b0;
        end
    end

assign div5 = X && Y;


endmodule

`timescale 1ns/1ps

module div_5_tb ();
    reg clk;
    reg rstn;

    wire div5;
    
    initial begin
        clk = 1'b0;
        rstn = 1'b0;
        #50
        rstn = 1'b1;

    end

    always #10 clk = ~clk;

div_5 div_5 (

    .clk(clk),
    .rstn(rstn),

    .div5(div5)

);   


endmodule

2.偶分频

是几分频计数器就计多少个数,然后记到一半时取反,然后在另外一个always块里赋值,比如四分频

module div_4 (
    input clk,
    input rstn,

    output reg div4
);

   reg [3:0] cnt;

   always @(posedge clk or negedge rstn) begin
    if (rstn == 1'b0) begin
        cnt <= 4'd0;
    end
    else if (cnt == 4'd3) begin
        cnt <= 4'd0;
    end
    else begin
        cnt <= cnt + 4'd1;
    end
   end


   always @(posedge clk or negedge rstn) begin
    if (rstn == 1'b0) begin
        div4 <= 1'b0;
    end
    else if (cnt >= 4'd2 && cnt <= 4'd3) begin
        div4 <= 1'b1;
    end
    else begin
        div4 <= 1'b0;
    end
   end

endmodule

`timescale 1ns/1ps

module div_4_tb ();
    reg clk;
    reg rstn;

    wire div4;

    initial begin
        clk = 1'b0;
        rstn = 1'b0;
        #50
        rstn = 1'b1;

    end

    always #10 clk = ~clk;

div_4 div_4 (

    .clk(clk),
    .rstn(rstn),

    .div4(div4)

);
endmodule

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Verilog分频计数器是一种用于对系统时钟进行分频处理的电路。根据引用\[1\]和引用\[2\]的描述,分频器可以分为偶数分频分频两种方式。 对于偶数分频,最简单的二分频可以通过在原时钟的上升沿进行输出信号翻转来实现。而对于更高的偶数分频比如4分频,可以使用计数器,在计数器计到2个上升沿的时候进行输出信号翻转。具体来说,计数器的范围为0-(N-1),其中N为偶数,选择一个值K,当计数器在0~K范围内时,输出信号为低电平;在(K+1)-(N-1)范围内为高电平,从而实现分频。这样的偶数分频器可以通过参数化设计来灵活地改变分频比例\[1\]。 对于分频,最简单的三分频无法直接使用计数器进行实现。根据引用\[2\]的描述,正确的思路是取两路上升沿和下降沿信号,然后对这两路信号取或。具体来说,可以使用计数器在0-2之间循环计数,控制输出1个高电平,2个低电平的信号1,然后将信号1延迟半个周期得到信号2,最后将信号1与信号2相与得到50%占空比的3分频信号。对于其他分频,可以使用类似的方法进行设计\[2\]。 根据引用\[3\]的例子,如果系统时钟为50MHz,要产生1MHz的时钟,则可以进行50分频。可以使用计数器进行实现,当计数器计到49次时清零,否则进行+1计数。同时,根据计数器的值,可以控制输出信号的翻转,从而得到1MHz的时钟频率。 综上所述,Verilog分频计数器可以根据需要选择偶数分频分频的方式,并通过计数器和组合逻辑来实现所需的分频比例。 #### 引用[.reference_title] - *1* *3* [Verilog基础知识-——计数器设计以及任意分频设计与modelsim仿真](https://blog.csdn.net/H19981118/article/details/115353714)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [Verilog分频器](https://blog.csdn.net/qq_39586852/article/details/125836784)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值