Vivado 仿真

本文介绍了Vivado工具中的仿真波形管理,包括如何保存波形设置、更改变量颜色和显示方式。当在FPGA项目中遇到问题并修改源代码后,可以直接运行仿真而无需重新编译。在PPM项目中,面对多个子模块,仿真策略显得尤为重要。
摘要由CSDN通过智能技术生成
  1. 保存波形
    下次不用再重新设置变量
    在这里插入图片描述
  2. 仿真波形中右键变量,可以改变颜色,显示进制,数字/模拟波形
  3. 仿真发现问题,修改源程序后,不需要重新编译,直接重新运行仿真即可。(编译按照流程,本来就是再仿真后面的)

仿真
PPM项目中,主程序下由很多子模块,仿真思路是

`timescale 1 ns/ 1 ns


module sim1(
    );
    
    reg clk1;

    
initial begin
clk1 = 0;
end

always #10  
begin
clk1 
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值