第27篇:T触发器实现4位计数器

本文介绍了如何使用T触发器构建一个4位同步计数器,通过DE2-115开发板上的按键和滑动开关控制计数过程,计数值通过数码管显示。ModelSim进行了仿真验证。
摘要由CSDN通过智能技术生成

Q:本篇我们用T触发器实现时序逻辑电路--计数器。

A:T触发器(Toggle Flip-Flop)只有一个信号输入端,在时钟有效边沿到来时,输入有效信号则触发器翻转,否则触发器保持不变,因此T触发器能够实现有效的计数功能。4个T触发器可以构成4位同步计数器,当Enable信号为高电平时,计数器会在时钟信号的上升沿递增,当Clear信号为低电平时,计数器将会在下一个时钟上升沿复位。

image-20231025155644707

T触发器以及例化部分Verilog代码:

image-20231025162959132

使用DE2-115开发板的按键KEY[0]作为时钟输入,滑动开关SW[1]和SW[0]作为Enable和Clear信号,计数器的计数值以十六进制的形式显示在数码管HEX0上:SW[1:0]都为UP时,每按一次KEY0,HEX0的值加1,从0计数到F。ModelSim仿真结果:

  • 9
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值