第35篇:分频器<二>

Q:介绍完D触发器分频器概念原理之后,本期我们设计实现四分频D触发器分频器。

image-20231114171548710

A:使用DE2-115开发板的KEY[0]作为时钟clk输入,LEDR[1:0]显示Q0和Q1的输出值,分别表示二分频和四分频的结果。

2个D触发器级联实现4分频的Verilog代码:

在顶层.v文件中例化分频器:

image-20231114171716732

Modelsim仿真结果:输出Q0的波形频率为clk频率的1/2,即二分频;输出Q1的波形频率为clk频率的1/4,即四分频。

image-20231114171825925

  • 6
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值