FPGA中的rom记录

rom的个人记录
先记录一自己写的第一个rom读取,我觉得rom应该是整个fpga里面最简单的了
我是调用的IP核,在设置的时候就很简单,先设置深度和位宽,然后再设置输入输出端口,在端口处设置寄存器的haul会延迟一拍输出,但是会更加准确一点,然后就是设置coe文件,不同平台的文件格式不一样,有的是mif文件,等等
设置好文件之后然后再输出地址就可以从rom里面读出数据,再到波形图上就可以观察到有各种波形图可以生成,rom在dds中的使用比较多,所以先搞清楚rom再去搞dds就会简单一些,这是我自己做完rom的一个小总结,在看看大牛都是怎么总结的,学习一下。
只读存储器ROM一种是具有n个输入m个输出的组合逻辑电路,说白了,其基本结构也就是有0——(n-1)个输入地址信号,0——(m-1)个数据输出。换句话说,只读存储器ROM就是一个“存储”了n输入、m输出的组合逻辑功能的真值表。另外,只读存储器ROM是一种非易失性存储器,断电也不会使数据丢失。

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值