【【典型电路设计之ROM 的 Verilog HDL 描述】】

典型电路设计之ROM 的 Verilog HDL 描述

ROM的Verilog HDL 描述
ROM 即是只读存储器,是一种只能读出事先存储的数据的存储器,其特性是存入数据无法改变,也就是说这种存储器只能读不能写。由于ROM在断电之后数据不会丢失,所以通常会在不需要经常变更资料的电子或电脑系统中,资料并不会因为电源关闭而丢失。
这是verilog代码

module rom(dout, clk, addm, cs_n);
input clk, cs_n;
input [2:0] addm;
output [7:0] dout;
reg[7:0] dout;
reg[7:0] rom[7:0];
initial
begin
rom[0]=8’b0000_0000;
rom[1]=8’b0000_0001;
rom[2]=8’b0000_0010;
rom[3]=8’b0000_0011;
rom[4]=8’b0000_0100;
rom[5]=8’b0000_0101;
rom[6]=8’b0000_0110;
rom[7]=8’b0000_0111;
end
always@(posedge clk)
begin
if(cs_n)
dout<=8’bZZZZ_ZZZZ;
else
dout<=rom[addm];
end
endmodule

下面是testbench 代码
module rom_tb;
reg clk, cs_n;
reg [2:0]addm;
wire [7:0]dout;
rom U1(.dout(dout),.clk(clk),.addm(addm),.cs_n(cs_n));
initial
begin
clk=0;
addm=0;
cs_n=0;
end
always #10 clk=~clk;
initial
begin
repeat(7)
#20 addm=addm+1;
end
endmodule

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值