FPGA中分频电路设计

偶分频,N为需要分频的倍数

parameter N = 32;                  //分频倍数
parameter CLK_DIVDER_MAX = N/2;

reg clk_divder;
reg [4:0] cnt_divder;

always@(posedge clk or negedge rst)
    if(!rst)
    cnt_divder <= 1'b0;
    else if(cnt_750 == CLK_DIVDER_MAX - 1)
    cnt_divder <= 1'b0;
    else
    cnt_divder <= cnt_divder + 1'd1;

always@(posedge clk or negedge rst)
    if(!rst)
    clk_divder <= 1'b0;
    else if(cnt_750 == 4'd15)
    clk_divder <= ~clk_divder;
    else
    clk_divder <= clk_divder;

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值