电话计费系统设计Verilog代码Quartus仿真

名称:电话计费系统设计Verilog代码Quartus仿真(文末获取)

软件:Quartus

语言:Verilog

代码功能:

电话计费系统

电话计费系统,用按键模拟接通或挂断,在LCD1602上显示通话时间以及消费金额,消费以一分钟为准 每通话时长达到1分钟就扣费。当余额不足是会提醒。然后我们想把它改成verilog语言 实现的功能不变

1. 工程文件

2. 程序文件

3. 程序编译

4. 管脚分配

部分代码展示:

module clk_gen(clk, clk_scan);
   input      clk;
   output     clk_scan;
   reg        clk_scan;
   
   reg [22:0] cnt;
   
   always @(posedge clk)
      
      begin
         if (cnt == 5999999)
            cnt <= 0;
         else
            cnt <= cnt + 1;
      end
   
   
   always @(posedge clk)
      
      begin
         if (cnt >= 5999999/2)
            clk_scan <= 1'b1;
         else
            clk_scan <= 1'b0;
      end
   
endmodule
源代码

点击下方的公众号卡片获取

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值