简易声控灯设计VHDL代码Quartus仿真

名称:简易声控灯设计VHDL代码Quartus仿真(文末获取)

软件:Quartus

语言:VHDL

代码功能:简易声控灯

1. 工程文件

2. 程序文件

3. 程序编译

正在编译

编译成功

4. RTL图

5. 仿真文件

6. 仿真图

部分代码展示:

LIBRARY ieee;
   USE ieee.std_logic_1164.all;
   USE ieee.std_logic_unsigned.all;
ENTITY Voice_lamp IS
   PORT (
      clk    : IN STD_LOGIC;--时钟
      Voice  : IN STD_LOGIC;--声音信号,1表示有声音
      lamp   : OUT STD_LOGIC--电灯,高电平亮
   );
END Voice_lamp;
ARCHITECTURE RTL OF Voice_lamp IS
   
   SIGNAL state : STD_LOGIC_VECTOR(1 DOWNTO 0) := "00";
   SIGNAL count : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000";
BEGIN
   PROCESS (clk)
   BEGIN
      IF (clk'EVENT AND clk = '1') THEN
         CASE state IS
            WHEN "00" =>--灯关闭状态
               IF (Voice = '1') THEN--检测到声音
                  state <= "01";
               ELSE
                  state <= "00";
               END IF;
            WHEN "01" =>--灯打开状态
               IF (count >= "00111100") THEN--计时60秒
                  state <= "10";
               ELSE
                  state <= "01";
               END IF;
            WHEN "10" =>--关灯状态
               state <= "00";
            WHEN OTHERS =>
         END CASE;
源代码

点击下方的公众号卡片获取

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值