Vivado封装自定义IP及调用

FPGA基础入门篇(八) Vivado封装自定义IP及调用
在FPGA实际的开发中,官方提供的IP并不是适用于所有的情况,需要根据实际修改,或者是在自己设计的IP时,需要再次调用时,我们可以将之前的设计封装成自定义IP,然后在之后的设计中继续使用此IP。因此本次详细介绍使用VIvado来封装自己的IP,并使用IP创建工程。

一、IP的创建
  1. 首先新建工程。
    如前面部分介绍的工程创建,完成代码的设计、输入,并在功能仿真或时序仿真完全正确的情况下。
    按如下步骤执行:
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
  2. 选择要存放的位置,这一步很重要,可以存放在以后专门自定义的IP文件夹内,方便以后添加和管理查看。
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
  3. 然后会弹出如下封装IP的界面,按如下执行Package IP
    在这里插入图片描述
    在这里插入图片描述
  4. 至此,我们IP就封装好了。可以打开我们IP的文件夹,包括三个文件,src是源代码文件。
    在这里插入图片描述
二、IP的使用

本次设计采用block design来使用自定义的IP。

  1. IP的添加。
    1.新建一个vivado工程。
    在这里插入图片描述
    按照上面的步骤添加自定义的IP。
  2. 创建一个BD文件
    在这里插入图片描述
    在这里插入图片描述
  3. 使用自定义的IP
    在这里插入图片描述
    在这里插入图片描述
    添加完之后如下:
    在这里插入图片描述
  4. 将引脚引出并重新命名,具体操作选中相应的管脚,右键选择make external
    在这里插入图片描述
  5. 然后右键选择general output product
    在这里插入图片描述
    在这里插入图片描述
  6. 然后右键选择 create HDL wrapper。
    在这里插入图片描述
    在这里插入图片描述
  7. 最后添加约束文件并进行综合编译产生bit流,然后上班调试。
Vivado中添加自定义IP核步骤如下: 1. 首先,确保你已经将自定义IP核设计完成,并且执行了合成等必要的操作。 2. 在Vivado中创建新的工程。选择一个适当的文件夹和工程名称。 3. 在创建好的工程中,打开“IP Catalog”窗口。通过点击“IP”菜单栏中的“Open IP Catalog”或者在窗口下方的“IP”标签处使用快捷键“Ctrl + Shift + W”来打开该窗口。 4. 在“IP Catalog”窗口中,选择“Repositories”下的“IP Repository”选项卡,然后点击右边的“+”按钮。 5. 在打开的窗口中,浏览并选择你的自定义IP核的Xilinx格式的ip文件。点击“OK”按钮进行导入。 6. 导入完成后,你的自定义IP核将会显示在“IP Catalog”窗口的“User IP”选项卡中。 7. 点击自定义IP核的图标,在右侧的“Customization Parameters”中可以配置自定义IP核的参数。 8. 可以选择性地进行其他配置,例如接口的设置、钟和复位的连接等。 9. 配置完成后,点击“OK”按钮,系统将会生成一个包含自定义IP核的例化模块。 10. 在Block Diagram等地方使用该例化模块,将其拖放至设计中,并按需连接到其他设计模块。 11. 最后,完成设计后进行生成比特流文件等后续的操作。 通过以上步骤,你可以成功将自定义IP核添加到Vivado中,并在你的设计中使用它。注意,在添加自定义IP核之前,建议先阅读Vivado的相关文档和教程,以便更好地理解和掌握该过程。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值