PMSM FOC控制 Matlab/Simulink仿真之Clark变换

6 篇文章 0 订阅

1.Clark变换的原理

为什么要进行坐标系变换,因为控制系统不好控制3相强耦合系统,要转到单相直流电机中的电流,这个好控制,这个Clark就是其中变换的第一步;

 Clark变换又称3s-2s变换,都处于静止状态下的坐标系;原理就是投影定理,类似于勾股定理

2.Clark变换的计算过程

根据投影定理:

Iα由Ia、Ib、Ic共同投影决定,根据几何原理,Iα=Ia-cos(60°)*Ib-cos(60°)*Ic,即是Iα=Ia-0.5*Ib-0.5*Ic

Iβ由Ib、Ic共同投影决定,根据几何原理,Iβ=sin(60°)*Ib-sin(60°)*Ic,即是Iβ=√3/2*Ib-√3/2*Ic

3.Simulink仿真模型搭建

计算Iα、Iβ时,这里是使用正弦函数计算,为了还原计算过程,其实直接带入定值就行,;

cos(60°)=1/2,sin(60°)=√3/2

公式中乘以2/3是因为要等幅变换,具体可自己baidu

 3个正弦电流输入如图设定,这里等效成电阻采样所得的电流,幅值为1,f=50Hz,相位依次差2pi/3

4.Simulink仿真结果

 这个是3相正弦电流输入,幅值=1,相位差120°

经过Clark变换输出的Iα、Iβ是相位差90°的正弦波,幅值=1,Iα超前Iβ 90度

  • 1
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值