学习日志之VHDL(2)——细节扫盲

VHDL数据类型

VHDL是一种很注重数据类型的语言,每一个数据不管是哪一种(前面变量说不要标注类型是错的orz)都要在定义时表明其数据类型,且赋值也必须要符合定义。在VHDL中用来定义数据的类型可以分为两块,一块是VHDL已经预定义好的类型,这些类型包含在库中,另一些是用户自己能操作的自定义库。

1. VHDL预定义库(这些不需要声明就能用的)

boolean {false,true}

bit {'0','1'}

bit_vector 

character

string

integer {-2^31~2^31}

real {-1.0e38~1.0e38}

time {ms,ns,ps,us,sec,min}

2.IEEE预定义标准逻辑库(需要用use语句声明)

std_logic

std_logic_vector

(引用下面这些程序包可以让signed,unsigned,integer之间可以混合运算,并且能用加减乘与或非的运算符)

unsigned

signed

std_logic_arith

 

if语句

格式:

if(条件) then 

操作1;

elsif (条件) then

操作2;

end if;

(注意有括号!!!)

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值