Verilog练习:流水灯显示

本文介绍了一个Verilog编程练习,涉及流水灯显示的设计。内容包括流水灯的程序实现以及相应的testbench,用于验证设计的正确性。
摘要由CSDN通过智能技术生成

题目:流水灯

在这里插入图片描述在这里插入图片描述

程序及testbench

// liushuideng.v
module liushuideng(
	input			clk,
	input			rst_n,
	output   reg  [11:0]	led
	);
	
	// time counter's parameter
	parameter	TIME_1S = 50;
	
//	reg [11:0] led;
	reg		   time_1s;
	reg [25:0] cnt;
 //   wire        rst_n;
  //  reg        clk;
	
	// clk counter
	always @(posedge clk or negedge rst_n) begin
		if(rst_n == 1'b0) begin
			cnt <= 0;
			end
		else if(cnt == TIME_1S) begin
			cn
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

吉大秦少游

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值