verilog之环境记录

操作系统:ubuntu18.04

环境安装

参考

sudo apt install iverilog
sudo apt install gtkwave

which iverilog
which vvp
which gtkwave

iverilog -V  # 查看iverilog版本,确认环境是否正常
gtkwave -V   # 查看gtkwave版本,确认环境是否正常

Icarus Verilog编译器主要包含3个工具:

  • iverilog:用于编译verilog和vhdl文件,进行语法检查,生成可执行文件
  • vvp:根据可执行文件,生成仿真波形文件
  • gtkwave:用于打开仿真波形文件,图形化显示波形

虽然iverilog只能仿真,但是仿真速度很快,对于比较小的模块或者中型项目的验证,完全可以使用iverilog进行前期开发,以提高开发效率。

  • 轻量
  • 免费
  • 环境配置简单
  • 在本模板上易用

编译代码

iverilog -o target_name  source1.v source2.v tb.v
# target_name 是生成目标的文件名称,.v文件是所有必的源代码

仿真:生成波形文件

vvp target_name

看波形

gtkwave target.vcd

vscode环境插件推荐

vscode+iverlog参考
Verilog-HDL/SystemVerilog/Bluespec SystemVerilog
Verilog Snippet

vscode->settings->verilog.linter -> Verilog.Linting.Linter->iverilog

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
您可以按照以下步骤在Ubuntu上安装Visual Studio Code。 1. 首先,在Ubuntu桌面的左侧菜单中找到Ubuntu Software并打开它。 2. 在Ubuntu Software中搜索Visual Studio Code。 3. 在搜索结果中找到Visual Studio Code并点击安装按钮进行安装。 4. 如果在Ubuntu Software中无法找到Visual Studio Code,您可以前往VSCode官网(Visual Studio Code - Code Editing. Redefined)下载Linux x64.deb版本的安装文件。 5. 将下载的.deb文件拖放到Ubuntu的Downloads文件夹中。 6. 在Ubuntu上打开终端(Terminal)。 7. 使用cd命令进入到Downloads文件夹,例如:cd Downloads。 8. 运行以下命令以安装Visual Studio Code:sudo dpkg -i <filename>.deb(请将<filename>替换为实际的文件名)。 9. 输入您的Ubuntu密码以确认安装过程。 10. 安装完成后,您可以在Ubuntu的应用程序菜单中找到并打开Visual Studio Code。 希望这些步骤能帮助您在Ubuntu上成功安装Visual Studio Code。如果您遇到了任何问题,请随时向我求助。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [在 Ubuntu 中安装 VSCode](https://blog.csdn.net/qq_50840738/article/details/126060563)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [Ubuntu20.04下安装VSCode(配置C/C++开发环境)](https://blog.csdn.net/fangshuo_light/article/details/123635576)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

阿尔发go

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值