XDC中I/O引脚配置Tcl语句(不含时序约束)

在Vivado的XDC约束文件中,想要配置一个引脚,就须使用XDC专用的Tcl语句进行配置。XDC语句享有最高的配置优先度。

基本配置格式如下:

set_property 属性名 属性值 [get_ports 顶层设计端口名]

在I/O配置中,最简单的配置就是仅仅指定端口所对应的引脚,示例如下:

set_property PACK_PIN L12 [get_ports {led[0]}]

即顶层设计的端口的一位led[0]被连接至FPGA芯片引脚L12上。

通常,在配置引脚号时也会指定该I/O所采用的电平标准,其采用格式如下:

set_property IOSTANDARD 值 [get_ports 端口名]

具体硬件支持的电平标准请参考该器件的数据手册。

get_property是专门用于控制I/O口物理约束及cell到顶层的约束,其包含的属性名众多,在本文这一简要介绍中不再继续深入,有需求的读者可以参考xilinx官方文档Vivado Design Suite Properties Reference Guide

  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值