11、时序约束

1、时钟约束:

在xdc文件中添加语句  

create_clock -period 20.000-name sys_clk -waveform {0.000 10.000} [get_ports sys_clk]


create_clock -period 20.000-name sys_clk -waveform {0.000 10.000} [get_ports sys_clk]

create_clock -period 100.000 -name clk [get_ports sys_clk_10MHz]

set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets sys_clk_10MHz]

时钟约束的作用是:有时使用板卡上的非时钟引脚作为时钟引脚时会报错,添加时钟约束之后可以解决这个问题。

2、时序约束

输入端到FPGA内部第一级触发器:set_input_delay

FPGA内部触发器之间:create_clock

FPGA内部末级触发器到输出端口:set_output_delay

FPGA输入端口到输出端口:set_max_delay

IO管脚约束---->指定管脚电平和位置

set_property IOSTANDARD LVCMOS33 [get_ports sys_rst_n]
set_property PACKAGE_PIN N16 [get_ports sys_rst_n]

set_property IOSTANDARD LVCMOS33 [get_ports sys_rst_n]
set_property PACKAGE_PIN N16 [get_ports sys_rst_n]

端接------

IO延迟约束

使用set_input_delay、set_output_delay来实现延迟约束,实际上这两个约束并不是调节数据的延迟,而是输入信号和输入时钟之间的延迟关系。

create_clock -name sysclk -period 10 [get_ports clkin]

set_input_delay 2 -max -clock sysclk [get_ports Datain]

set_input_delay 1 -min -clock sysclk [get_ports Datain]

create_clock -name sysclk -period 10 [get_ports clkin]

set_input_delay 2 -max -clock sysclk [get_ports Datain]

set_input_delay 1 -min -clock sysclk [get_ports Datain]

3、xdc约束的优先级

xdc文件中,按约束先后顺序依次执行,因此如果对同一个时钟进行了多个约束,那么只有最后一条约束有效。

主时钟的创建

查看主时钟: 

 

可以通过tcl命令直接创建时钟约束:(会自动写入时序约束文件中)

 

 衍生时钟的创建

 

 

 

  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值