vivado下的时序约束

本文详细介绍了在Vivado中如何进行时序约束,包括基础时钟约束、派生时钟约束(用户RTL生成和 PLL/MMCM 自动生成时钟)以及时钟分组策略,如异步组约束和互斥时钟。强调了派生时钟约束的注意事项,如避免使用get_clocks并建议对时钟进行命名。同时,提到了时钟分组在处理不可拓展时钟和异步时钟关系中的重要性。
摘要由CSDN通过智能技术生成

1.基础时钟

晶振时钟

  • 通常是晶振时钟(get_ports),也可以是高速收发器时钟(get_pins)
    在这里插入图片描述

2. 派生时钟约束

  • 对于自动生成的时钟(如MMCM/PLL生成的时钟)我们不需要做任何约束,只需要对其源时钟做约束即可。
  • 自动推导的好处在于当MMCM/PLL/BUFR的配置改变而影响到输出时钟的频率和相位时,用户无需改写约束,Vivado仍然可以自动推导出正确的频率/相位信息。劣势在于,用户并不清楚自动推导出的衍生钟的名字,当设计层次改变时,衍生钟的名字也有可能改变。但由于该衍生时钟的约束并非我们自定义的,因此可能会没有关注到它名字的改变,当我们使用者这些衍生时钟进行别的约束时,就会出现错误。
  • 对于我们RTL设计的分频时钟需要进行约束。
    在这里插入图片描述
  • 进行派生时钟约束时,source时钟只能用get_pins/get_ports,不能用get_clocks

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Arist9612

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值