xdc如何设置输入延时

常用命令:

Set_input_delay,create_clock,set_output_delay以及用于组合逻辑的set_max_delay.

 

Input delay:

  1. 什么是输入延时?
    1. Trace delay(又叫做board delay)板级延时
    2. 输入延时:以上游芯片的发送沿(launch edge)为参考点。发送数据之后,经过input delay这么长时间。数据到达fpga的输入端口的管脚。
    3. 以上游芯片的发送沿为参考点,经过四纳秒,数据到达fpga的输入端口。
  2. 完整的例子
      1. FDRE:第一集触发器(first D register)
    1. Input setup timing report summary
  3. Input Hold timing report
    1. Summary
    2. 详细时许报告
  4. Set_input_delay基本语法规则
    1. –clock:设置参考时钟,通常认为是上升沿为参考点
    2. –max
    3. –min
    4. Eg:
  5. DDR
    1. 系统同步:两片芯片共用时钟。
    2. Source synchronise:源同步
    3. DDR模式:上升沿发送的数据用下降沿来捕获,下降沿发送的数据用上升沿来捕获。
    4. –clock_fall(使用下降沿作为参考沿)
    5. –add_delay同时有效
  6. 静态时序路径
    1. 一定是从一个触发器到另一个触发器,不管这两个触发器是否在同一个芯片内,只有在这两个触发器之间才能构成一个完整路径。
    2. 在默认情况下,输入端口到达内部第一级触发器的路径并不是一个完整的时序路径。
    3. 要素
      1. 时钟,是外部芯片发送沿做为参考点
      2. 然后发送数据经过Tco再加上board delay到达fpga的输入端口。
  7. Summary
    1. Expr:计算求和

 

  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值