Verilog七人表决器

设计内容:若同意人数超过半数时,输出为1。用开关表示输入,用LED灯(自定义)的亮灭表示最终结果。


程序代码:

module toupiao(a,out);

input [6:0]a;

output reg out;

integer i,count1,count2;

always@(*)

begin

count1=0;

count2=0;

i=0;

while(i<7)

 begin

     if(a[i]==1)

        count1=count1+1;

        else

        count2=count2+1;

        i=i+1;

    end

    if(count1<count2)out=2'b00;

    else if(count1>count2)out=2'b01;

end

endmodule



输入程序后,填写对应的引脚,即可

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值