Summarization series # 2020.5

A work not, this blog is about the past month’s knowledge points summary.

Linux Terminal

  • Environment configuration file: In the source directory inputsource .cshrc_my and then g .cshrc_my
    or direct input command g ~/.cshrc_my
    ( g:gvim,cause the file write this sentence:alias g gvim that means use command “g” instead of “gvim”, suchlike:
alias vi vim
alias gd gvim -d(gd means compare two files)
alias h history

)

  • VIM configuration file: In the source directory inputg .vimrc
  • Delete folder:rm -rf filename/
  • Delete file:rm -f filename/
  • Delete a certain type of file: e.g:rm *.fsdb (delete .fsdbtype file) or rm debug_0*(Delete files which filenames start with debug 0)
  • Delete link file:rm -rf filename
  • link file: e.g:ln -s /var/test test(The current path to create test folder link to /var/test folder)
  • Alter link file:ln -snf /newfile test
  • New directory:mkdir filename/
  • Copy file:cp /path/filename . -r
  • Generate workbench:gnr iS.wb(On condition that have a kind of environment include Tcl file such as iSSIM.log
  • Terminate the command: ctrl + c
  • To suspend the order: ctrl + z
  • Search file: e.g:ll *.fsdb
  • Decompressing ZIP file: unzip filename.zip -d filename
  • Linux命令大全

GVIM

  • One file into other file: move the cursor to the filename, and then strike gf

  • Return last file: ctrl + shift + o

  • Enter edit pattern:
    Press i、I、a、A、o、O、r、R one of keys
    when enter edit pattern, at the bottom of the screen will show INSERT or REPLACE.
    From edit pattern come back normal mode, press Esc.
    i: Insert before the current character
    I: Insert at the beginning of the line where the cursor is located
    a: Insert after the current character
    A: Insert at the end of the line where the cursor is located

  • Command mode:
    press the key : or /
    In this mode, you can search character or string, also can save, replace, exit, display line number and so on.
    :w save text.
    :q exit vim.
    :w! Mandatory saving.
    :q! Forced exit, all changes do not take effect.
    :wq saving and exit.
    :set nu diaplay line numbers.
    q: VIM’s history commands window.
    /word Find a string of word after the cursor, press n backward to continue the search, shift+n upward search.
    :n1,n2s/word1/word2/g Look up word1 between the n1 and n2 lines and replace it with word2, if don’t add g then only replace the first word1 of each line.(The order is start point-> , ->end point-> s (means replace) -> need replace word ->has been replaced word-> g(means all) )
    :1,$s/word1/word2/g Replace all word1 in the document with word2, $ means to the end.

  • vim编辑器里面一些不为人知的操作

  • 可学习课程

Verdi

So far, it’s only used to check .fsdb waveform, debug, source RTL code after simulation in a simple simulation environment.

Synplify

Comprehensive tools, see the user manual for use.

Verilog

  • Dunmp function:
    Verilog provides a series of system tasks for recording changes in signal values. Common formats include VCD, FSDB, etc.
    Dump VCD format
$dumpfile("file. dump"); //打开一个VCD数据库用于记录
$dumpvars(level,start_module); //要记录的信号,level=0表示记录所有
$dumpflush; //将VCD数据保存到磁盘  不明白
$dumpoff; //停止记录
$dumpon; //重新开始记录
$dumplimit(); //限制VCD文件的大小(以字节为单位)
$dumpall; //记录所有指定的信号值1234567

usage:

initial
begin
$dumpfile (“verilog. dump”);
$dumpvars (0, testfixture);
end12345

e.g:

$dumpvars; // Dump所有层次的信号
$dumpvars (1, top); // Dump top模块中的所有信号
$dumpvars (2, top. u1); // Dump实例top. u1及其下一层的信号
$dumpvars (0, top. u2, top. u1. u13. q); // Dump top.u2及其以下所有信号,以及信号top. u1. u13. q。
$dumpvars (3, top. u2, top. u1); // Dump top. u1和top. u2及其下两层中的所有信号。

Constraint

  • The constraint is written in the.fdc file, and.edif is automatically generated by synplify.
    I even don’t konw what the different between .fdc file and .xdc file, seemingly, just sentences has difference, for example those sentence in .xdc file:
set_property PACKAGE_PIN R4 [get_ports "GPIO1"]
set_propert IOSTANDARD "LVCMOS18" [get_ports "GPIO1"]

To .fdc file:

define_attribute {GPIO1} syn_loc {R4}
define_io_standard {p:GPIO1} syn_pad_type {LVCMOS18}
  • -dict is write in one line of constraints associated with a PIN.
    e.g:set_property -dict { PACKAGE_PIN E1 IOSTANDARD LVCMOS33 } [get_ports { led0_b }];

  • When vivado implementation run place design arise this kind of error:

Poor placement for routing between an IO pin and BUFG. If this sub optimal condition is
acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc
file to demote this message to a WARNING. However, the use of this override is highly
discouraged. These examples can be used directly in the .xdc file to override this clock
rule.

	< set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF] >

	clk_IBUF_inst (IBUF.O) is locked to IOB_X0Y248
	and BUFG_inst (BUFG.I) is locked to BUFGCTRL_X0Y31

Solution:Add this codeset_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF] in init.xdc file.

之后开始System Verilog, UVM, Cadence VIP,加油咯。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: gensim.summarization是一个使用gensim库实现的文本摘要(自动摘要)工具。它可以从文本中提取关键句子,生成摘要。该工具使用TextRank算法,对文本中的句子进行排序,并从中选择最具有代表性的句子作为摘要。它适用于不同领域的文本,例如新闻、科技文章等。 ### 回答2: gensim.summarization是一款用于文本摘要的Python包。它可以帮助用户将长篇文章或文本摘要成短文本,从而更方便地阅读和理解。gensim.summarization的主要功能是提取关键词和生成文章摘要。 在使用gensim.summarization之前,需要首先安装它并导入相应的包。gensim.summarization提供了两个常用的方法,分别是summarize和keywords。其中,summarize方法可以帮助我们生成摘要,而keywords方法则可以提取文章的关键词。 使用summarize方法时,需先将文本拆分成句子。然后,summarize方法会根据句子之间的相似度,从中选择出最具代表性的几句话作为摘要。可以通过ratio参数调整生成摘要的比例,也可以通过word_count参数指定生成摘要的字数。 使用keywords方法时,首先需要通过文本的TF-IDF值来提取出最重要的关键词。关键词的选择是根据它们与文章主题的相关性进行的,所以提取得到的关键词通常是比较准确的。 总的来说,gensim.summarization是一款强大的文本摘要工具,它可以帮助用户更快速和有效地理解长篇文章或文本的主旨。对于需要经常处理大量文本的人来说,gensim.summarization无疑是一种非常实用的工具。 ### 回答3: gensim.summarization 是一个基于 gensim 库开发的 Python 自然语言处理工具,用于对文本进行自动摘要和关键词提取。 在实现自动摘要方面,gensim.summarization 利用 TextRank 算法,该算法可以从文本中自动抽取重要的句子,生成概括性的摘要文本。使用该算法时,需要输入原始文本、期望输出的摘要长度和摘要压缩率参数,gensim.summarization 会自动计算每个句子的权重,并返回摘要文本。 关键词提取方面,gensim.summarization 提供了两种算法:TextRank 和 LSI(Latent Semantic Analysis)。TextRank 算法主要从句子中提取单词,而 LSI 则是从整个文本中提取。这两种算法都可以使用,以便找到最有代表性的关键词。 此外,gensim.summarization 还支持删除停用词,这些词通常是没有实际意义,例如“是”、“的”、“了”等等。gensim.summarization 可以从文本中删除这些无用词汇,确保提取到的关键词和摘要内容更加精准。 总之,gensim.summarization 是一个方便易用、具备多种特性的 Python 自然语言处理工具,非常有利于文本数据的处理和分析。无论是在学术研究、商业应用还是日常生活中,使用 gensim.summarization 都可以提高文本处理的效率,提高数据的可读性和可用性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值