verilog 带参数的模块定义和例化

1、模块定义

module sync_data
	#( parameter dw = 16 ) 
	(
input           clk_i			,	
input           rst_n_i			,
input           col_mode_i		,	
input [dw-1:0]  ult_data_i	  	,  
input           ult_col_end_i	,  
input [dw-1:0]  tev_data_i		,  
input           tev_col_end_i    ,
input           syn_clk_1hz_i    ,
input           syn_clk_50hz_i   ,
input           syn_clk_18000hz_i,
output [dw-1:0] syn_data_o,
output          frame_en_o
);

assign syn_data_o = syn_data_r;
assign frame_en_o = frame_en_r;
wire [dw-1:0] sampl_data = (col_mode_i == 4'd0 )? ult_data_i : tev_data_i;
reg [dw-1:0] syn_data_r;
reg syn_clk_18000hz_r;

…………

endmodule

2、上面模块的例化

parameter ult_ad_bit_num = 16,
wire [ult_ad_bit_num-1:0]  w_syn_data;
wire                       w_frame_en;

   sync_data #( .dw(ult_ad_bit_num) ) int_sync_data(
			.clk_i				  (l_clk_54M              ),		
			.rst_n_i			  (l_54MHz_sync_rs
  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

江鸟的坚持

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值