Ubuntu下VCS软件安装结果的一个测试

这篇博客主要记录了在Ubuntu系统中如何将GCC版本降低到4.8进行VCS软件的测试。作者首先尝试了4.7版本,然后详细介绍了测试过程,包括测试目的和被测试的文件。在测试阶段,遇到了错误提示,但未提供具体的解决方法。
摘要由CSDN通过智能技术生成

一、修改GCC版本

gcc版本需要降到4.8。

apt-get install gcc-4.8
update-alternatives --install /usr/bin/gcc gcc /usr/bin/gcc-4.8 80
update-alternatives --config gcc

在这里插入图片描述
没错,我也试了试4.7版本的。

二、源文件

测试的目的是验证VCS软件能否正常工作,被测试文件如下:

module testbench();

reg clk;
reg rst_n;
reg data_in;
wire data_out;

initial begin
   clk 
  • 5
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值