FPGA面试题【verilog建模中的信号强度排列】

目录

题目

核心思路

答案

FPGA全貌

题目

verilog建模中的信号强度排列

核心思路

纯八股

答案

数字电路设计对信号的定义包括以下八种:根据强度排列分别为supply,strong,pull,large,weak,midium,small,highz等状态,

其中supply,strong,pull,和weak是drive(驱动),而large,medium,small是存储,highz为高阻态;

supply表示电路中的最高电压或者最低电压,分别为supply0和supply1;

strong电压略低一些,应该是在multi-drive电路中,采用的稍低于supply的电压,而pull在模拟电路中应该有这样的pull-up,pull-down的概念,相应的三极管电路也会有这样类似的概念,上拉电阻会提到将电压拉升的作用,下拉则正好相反;

weak应该是作为电路驱动的最弱的电压。而相应的large,medium和small在存储电路中会用到,存储电路一般电压都很低,电路

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值