FPGA基础学习总结(三)—— 异步复位同步释放

关于异步复位同步释放

在此之前可以先了解异步复位造成的亚稳态现象,后采用本文的方法进行消除。
一 概念:

异步置位和复位与时钟无关,可以解释为只要置位和复位信号有效,不需要等到时钟沿到来,也能进行置位和复位。

事件控制语法:
@(<沿关键词 时钟信号> or<沿关键词 复位信号> or <沿关键词 置位信号> )


同步置位和复位是指只有在时钟的有效跳变沿时才能进行置位和复位。

事件控制语法:

 1.正沿触发:
     @(posedge clk)
  2.负沿触发:
    @(negedge clk)

二.

异步: always @(posedge clk or negedge rst_n )
              if(
  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
FPGA(现场可编程门阵列)可以使用异步复位同步释放来实现复位功能。异步复位表示当特定条件满足时,立即对电路进行复位操作。而同步释放是在特定时钟边沿上释放复位。 要实现异步复位同步释放功能,可以按照以下步骤进行操作: 1. 定义一个异步复位信号:首先,您需要定义一个异步复位信号,通常命名为"reset_n"。这个信号是一个低电平有效的信号,当信号处于低电平时,电路处于复位状态。 2. 实现异步复位逻辑:将异步复位信号与需要进行复位的电路模块相连。在异步复位信号为低电平时,这些模块将被复位。 3. 添加同步释放逻辑:为了实现同步释放,您需要创建一个同步释放信号。这个信号也是一个低电平有效的信号,通常命名为"release"或"reset_release"。它将在时钟边沿上发出释放复位的命令。 4. 使用寄存器进行同步释放:在时钟边沿上,将同步释放信号输入到一个寄存器,以确保在稳定的时钟状态下进行释放操作。 5. 解除复位状态:将寄存器的输出与需要解除复位的电路模块相连。当同步释放信号为低电平,且在时钟边沿上稳定时,这些模块将离开复位状态。 需要注意的是,异步复位同步释放是一种常见的实现方式,但在具体的设计可能会有一些微调和优化,以满足特定的要求和约束。此外,确保异步复位同步释放的时序满足FPGA器件的要求也很重要。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Fighting_FPGA

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值