Verilog中关于使用任务task和函数function的小结

任务和函数可概括为以下特点:
1.任务和函数都是用来对设计中多处使用的公共代码进行定义;使用任务和函数可以将模块分割成许多个可独立管理的子单元,增强了模块的可读性和可维护性;它们和C语言中的子程序其相同的作用。

2.任务可以具有多个输入、输入/输出(inout)、输出变量;在任务中可以使用延迟事件和时序控制结构,在任务中可以调用其他任务和函数。

3.可重入任务使用关键字automatic进行定义,它的每一次调用都对不同的地址空间进行操作。因此在被多次并发调用时,它仍然可以获得正确的结果。

4.函数只有有一个返回值,并且至少要有一个输入变量;在函数中不能使用延迟、事件和时序控制结构,但可以调用其他函数,不能调用任务。

5.当声明函数时,Verilog仿真器都会隐含地声明一个同名的寄存器变量,函数的返回值通过这个寄存器传递回调用处。

6.递归函数使用关键字automatic进行定义,递归函数的每一次调用都拥有不同的地址空间,因此对这种函数的递归调用和并发调用可以得到正确的结果。

7.任务和函数都包含在设计层次之中,可以通过层次名对他们进行调用。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值