使用Quartus II9.0实现用74161计数器设计一个12进制的计数器

用161计数器芯片,设计一个M=12的计数器

因为我们知道74161是16进制计数器,16>12,所以我们用一个74161计数器采用置零法或者置数法设计一个12进制计数器,这里我们采用置数法。

因为74161是同步置数,所以计数器会从0000计数到1011,在下一个CLK脉冲上升沿到来时计数器置数到0000从而继续循环计数,我们可以在到达1011时让计数器输出1,其他情况下输出0,从而完成计数器的设计工作。
我们先建立一个project,然后新建一个Block Diagram文件双击BDF空白处,添加组件符号。如图所示。
在这里插入图片描述
然后编译一次,新建一个vwf,矢量波形仿真文件,双击name下空白处,点击node finder然后对其添加 输入,输出管脚,可以根据pins:input或者pins:out点击list来选择输入输出引脚。切记在设置引脚前要先编译一次
在这里插入图片描述
接下来设置输入引脚的值,在这里我们设置A,B,C,D引脚输入值均为0000,ENT与ENP的值均为1,CLRN在0到10ns为0,其他情况下为1。CLK为时钟脉冲信号。

最后编译仿真得出仿真图。
在这里插入图片描述
从图中可以得出,仿真结果与设计目的相符,设计正确。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值