Verilog函数及任务(Tasks and Functions)

本文介绍了Verilog中的函数和任务。函数是一组返回值的语句,有输入参数但无输出端口。任务包含一组语句,可有输入、输出和双向端口。通过示例展示了函数调用和任务启用的语法。
摘要由CSDN通过智能技术生成

Verilog函数及任务(Tasks and Functions)

函数(Function)

函数由一组语句组成,并返回一个值给调用他的语句。
语法:

function [ automatic ] [ size_or_type ] function_name;
  input_declaration
  [ local_declaration ] 
  statement
endfunction 

input_declaration = input [ size ] input_name, ...;

函数至少有一个输入参数,且不能有双向端口和输出端。size_or_type是返回的位范围,格式为[高位:地位]([msb:lsb]),或者返回类型,如整型,实数。

例程:

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值