LL-verilog 1000HZ分频为1HZ使用三个十位计数器合成

使用三个十进制实现千进制计数并分频
module top_module (
input clk,
input reset,
output OneHertz,
output [2:0] c_enable
); //

wire [9:0] q1,q2,q3;
//三个十位计数器
bcdcount counter0 (clk, reset, c_enable[0],q1);
bcdcount counter1 (clk, reset, c_enable[1],q2);
bcdcount counter2 (clk, reset, c_enable[2],q3);

assign c_enable = { (q1==9 && q2==9) , q1==9 , 1'b1 };
assign OneHertz = q1==9 && q2==9 && q3==9;

endmodule

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

芯作者

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值