CORDIC based Signal Processor desgn

本文介绍了一个基于CORDIC(协调迭代算法)的信号处理器设计,该设计用于计算给定角度的正弦和余弦值。通过迭代过程,算法能够逐渐调整初始向量,从而找到对应输入角度的sin和cos值。代码示例展示了如何使用CORDIC算法进行旋转,以确定最终的映射和角度值。
摘要由CSDN通过智能技术生成

设计题目和要求如下:

在这里插入图片描述

实现结果:
请添加图片描述
请添加图片描述

在这里插入图片描述
#include “cordic.h”

static FIXED_POINT cordic_phase[64]={0.78539816339744828000,0.46364760900080609000,0.24497866312686414000,0.12435499454676144000,0.06241880999595735000,0.03123983343026827700,0.01562372862047683100,0.00781234106010111110,0.00390623013196697180,0.00195312251647881880,0.000

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

芯作者

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值