【SystemVerilog基础】$isunknown 操作符使用说明

44 篇文章 72 订阅 ¥59.90 ¥99.00

$isunknown 操作符,可以在表达式的任意位出现X或Z时返回1,如下例:

if($isunknown(iport) == 1)
	$display("@%0t: 4-state value detected on iport %b", $time, iport);

如果iport这个变量中的任意位有x或者z,那么$isunknown(iport)表达式返回1!

  • 2
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

ReCclay

如果觉得不错,不妨请我喝杯咖啡

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值