【FPGA】Buffer专题介绍(三)

目录

 

背景

IOBUF

IOBUFDS

OBUF

OBUFDS

OBUFT

OBUFTDS


背景

首先给出这个专题的第一个地址:【FPGA】Buffer专题介绍(一)

第二篇的地址:【FPGA】Buffer专题介绍(二)

好了,正式进入主题:


IOBUF

原语:双向缓冲(Primitive: Bi-Directional Buffer)

The design element is a bidirectional single-ended I/O Buffer used to connect internal logic to an external bidirectional pin.

设计元素是双向单端I / O缓冲器,用于将内部逻辑连接到外部双向引脚。

这个Buffer不需要用户自己例化,综合工具来推断什么时候使用,并自动添加到设计中。

有关双向端口我还没用过,这里不便说太多。

如果非要例化使用,也可以,给出例化模板:

Verilog Instantiation Template
// IOBUF: Single-ended Bi-directional Buffer
// All devices
// Xilinx HDL Libraries Guide, version 11.2
IOBUF #(
.DRIVE(12), // Specify the output drive strength
.IBUF_DELAY_VALUE("0"), // Specify the amount of added input delay for the buffer,
// "0"-"12" (Spartan-3E only), "0"-"16" (Spartan-3A only)
.IFD_DELAY_VALUE("AUTO"), // Specify the amount of added delay for input register,
// "AUTO", "0"-"6" (Spartan-3E only), "0"-"8" (Spartan-3A only)
.IOSTANDARD("DEFAULT"), // Specify the I/O standard
.SLEW("SLOW") // Specify the output slew rate
) IOBUF_inst (
.O(O), // Buffer output
.IO(IO), // Buffer inout port (connect directly to top-level port)
.I(I), // Buffer input
.T(T) // 3-state enable input, high=input, low=output
);
// End of IOBUF_inst instantiation

IOBUFDS

FPGA buffer是指用于对端口时钟信号和其他重要信号的缓冲和驱动的原语。它们被用来满足FPGA底层硬件综合布线规则,以正确且充分地利用FPGA全局时钟树资源。 除了对端口时钟信号的缓冲和驱动,FPGA内部的普通logic信号也可以通过BUFG(Buffer)来利用全局时钟树走线。需要注意的是,logic信号到BUFG之间可能会有大约10ns的延迟。 另外,FPGA乒乓buffer设计电路是一个用于从外部读入有效数据并按照一定规则输出的电路。其中的输入信号包括时钟CLK,由外部输入的信号data_vld,data_in[7:0]等。这个电路可以将不连续的数据按照16位连续输出。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [Xilinx-FPGA关于BUFFER(时钟/普通IO信号)的使用总结](https://blog.csdn.net/weixin_42483560/article/details/125643424)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *3* [乒乓buffer.png](https://download.csdn.net/download/quan_heng/12683703)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

李锐博恩

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值