fpga系列 HDL:Quartus II RAM (Random Access Memory) IP核实现随机存取存储器+Embedded RAM & Distributed RAM

创建RAM模块

在这里插入图片描述

  • 配置数据宽度和RAM的大小
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
  • 下面的复选框用于在线调试
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述

实例化模块代码

// 顶层模块
module dram (
    input wire clk,          // 时钟信号
    input wire wr_en,        // 写使能信号
    input wire [ADDR_WIDTH-1:0] addr,  // 地址总线
    input wire [DATA_WIDTH-1:0] data_in, // 数据输入
    output wire [DATA_WIDTH-1:0] data_out // 数据输出
);

// 参数定义
parameter ADDR_WIDTH = 8;   // 地址宽度,根据需要调整
parameter DATA_WIDTH = 8;   // 数据宽度,根据需要调整

// 内部信号声明
wire clock_sig;
wire wren_sig;
wire [ADDR_WIDTH-1:0] address_sig;
wire [DATA_WIDTH-1:0] data_sig;
wire [DATA_WIDTH-1:0] q_sig;

// 将顶层模块的端口连接到内部信号
assign clock_sig = clk;
assign wren_sig = wr_en;
assign address_sig = addr;
assign data_sig = data_in;
assign data_out = q_sig;

// 实例化单端口RAM
oneportram oneportram_inst (
    .address ( address_sig ),
    .clock ( clock_sig ),
    .data ( data_sig ),
    .wren ( wren_sig ),
    .q ( q_sig )
);

endmodule

效果

  • RAM (Random Access Memory) IP使用芯片内部的资源实现,在Cyclone III 系列芯片中,可通过嵌入式块RAM(BRAM)或 LUT实现。可在chip planer中显示具体实现:

eRAM实现(Embedded RAM,嵌入式RAM)

LUT实现(Distributed RAM)

  • 将数据存储在LUT中,在iCE40LPHXFamilyDataSheet和其他一些文献中将这种方法称为分布式RAM(Distributed RAM)实现。
    在这里插入图片描述

在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值