减少仿真时的内存占用-- systemverilog,UVM

👉此篇文章会随着工作年限的增加,持续更新…

1. transaction 的例化

你是不是习惯性的在 monitor 的 forever 循环中不停的例化 transaction 对象,如下:

my_transaction trans;
task my_monitor::main_phase();
	forever begin
		... // wait sample condition
		trans = new("trans");
		ap.write(trans); // TLM analysis port 
	end
endtask

此时就带来一个问题值得我们思考:forever 会一直不停的创建对象,如果环境中有很多的 agent, 并且不断地发送很多的 trans ,这些trans占用的空间资源是不是难以估量。这时可能你有疑问了,Systemverilog 是具有垃圾回收机制的,也就是当这个对象没有句柄指向(当最后一个句柄不再引用某个对象)时,SV就会释放这个对象的内存空间。但是这时就带来了一个问题,这个 trans 对象会在下一次调用 trans = new(“trans”) 时,才会释放这个对象。 此时,你可能需要等到某个条件才会再次例化一个 trans ,那么前一个trans 指向的对象就一直存在于内存中很多拍,知道某一拍条件出发,才释放内存。那么如果系统中有很多不同monitor 例化的trans,且这些 trans 对象的内容很多,这对内存的消耗就很大了。
那么该如何解决这个呢?

方案一

把trans放在forever 开头例化,这样,每次 forever 循环结束一次,就立刻重新例化一个trans对象,将上一个对象覆盖。

my_transaction trans;
task my_monitor::main_phase();
   forever begin
   		trans = new("trans");
   		... // wait sample condition
   		... // monitor
   		ap.write(trans); // TLM analysis port 
   end
endtask

方案二

在将trans对象通过TLM端口发送出去后,就将trans句柄指向null。

my_transaction trans;
task my_monitor::main_phase();
   forever begin
   		... // wait sample condition
   		trans = new("trans");
   		... // monitor
   		ap.write(trans); // TLM analysis port 
   		trans = null ;
   end
endtask

方案二

只例化一个对象,在下一次采样条件到来之前,将对象的内容清除,然后再采样向对象中塞内容。在transaction中自定义一个clear_all()函数,将trans对象中的一些队列和数组等内容清空。

my_transaction trans;
task my_monitor::main_phase();
   trans = new("trans");
   forever begin
   		trans.clear_all();
   		... // wait sample condition
   		...// monitor
   		ap.write(trans); // TLM analysis port 
   end
endtask

function void my_transction::clear_all();
   ... //delete array 、queue ...
endfunction  

小结

方案一和方案二的思路是差不多的,都是想尽早地让前一个trans的对象没有trans句柄指向,然后通过SV的垃圾回收机制回收。但是问题在于,SV的垃圾回收机制是在什么时候回收这个对象,这个没有句柄指向的对象还会在仿真环境中存在多久,这点我们不得而知。所以,更可取的方式是采用方案三,只例化一个对象,每次采样之前先将前一个trans对象中的内容清空,减少向CPU申请开匹配内存的消耗。

  • 3
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小小verifier

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值